Serial sequential adder  v.1.0
Example documentation project, author Vladimir Petrović
fulladder.vhd
Go to the documentation of this file.
1 library ieee;
2 use ieee.std_logic_1164.all;
3 
11 
18 entity fulladder is
19  port
20  (
22  a : in std_logic;
24  b : in std_logic;
26  cin : in std_logic;
27 
29  s : out std_logic;
31  cout : out std_logic
32  );
33 end fulladder;
34 
39 architecture fa_behav of fulladder is
40 begin
41  s <= (a xor b) xor cin;
42  cout <= (a and b) or (cin and (a xor b));
43 end fa_behav;
44 
out sstd_logic
Output sum.
Definition: fulladder.vhd:29
Entitiy declaration for fulladder.
Definition: fulladder.vhd:18
in bstd_logic
Input bit 2.
Definition: fulladder.vhd:24
in cinstd_logic
Input carry.
Definition: fulladder.vhd:26
_library_ ieeeieee
Definition: fsm_adder.vhd:23
in astd_logic
Input bit 1.
Definition: fulladder.vhd:22
out coutstd_logic
Output carry.
Definition: fulladder.vhd:32