:: Laboratorijske vežbe :: Katedra za elektroniku :: Elektrotehnički fakultet u Beogradu ::
 
 
   
 

Osnovi digitalne elektronike 13E042OD

 

Uputstvo za laboratorijske vežbe iz Osnova digitalne elektronike (OF, OT i OS):

1. Dekadni brojač sa indikacijom brojanja

2. Projektovanje hardvera na programabilnim komponentama korišċenjem VHDL jezika

 

 

 

 
 
 
 
 

 

 

 

 

 

 

 

 
 
 

 

 
 

Laboratorija iz predmeta:

19E041UED

19E042DE2

19E042AE1

19E042SIS

13E042LVOE

13E043LVE2

13E043EE

13E043LE

13E042OD

 
Katedra za elektroniku | Elektrotehnički fakultet u Beogradu